언어 선택

홈 페이지 arrow-right Synopsys VCS

5개의 무료 및 유료 대안을 Synopsys VCS에 대한 목록으로 정리했습니다. 주요 경쟁 업체는 gEDA Project, Cadence Incisive입니다. 이 외에도 사용자들은 Synopsys VCS와 ICARUS, ModelSim, Riviera-PRO 간에 비교를 하기도 합니다. 또한 여기서 다른 유사한 옵션을 살펴볼 수 있습니다: About.


gEDA Project
Free Open Source

gEDA 프로젝트는 GPL의 전체 제품군 및 툴킷을 지속적으로 생산하고 작업하고 있습니다.

ASIC 설계 및 검증을위한 시스템 Verilog, Verilog, VHDL, SystemC HDL 시뮬레이터

2003 년 3 월 12 일 영국 런던-새로운 분야 인 Pixel Farm

시스템 Verilog, Verilog. ASIC 및 대형 FPGA 설계를 대상으로하는 VHDL, SystemC, HDL 시뮬레이터.

ASIC 설계 및 검증을위한 시스템 Verilog, Verilog 및 VHDL의 HDL 시뮬레이터.

Synopsys VCS 플랫폼

tick-square Linux
tick-square Windows

Synopsys VCS 개요

글로벌 전자 시장에서 혁신을 가속화하는 제품과 서비스를 제공합니다.

최고의 Synopsys VCS 대안

소프트웨어에 대한 의견을 공유하고 리뷰를 남겨 더 나아지도록 도와주세요!

Synopsys VCS 태그

system-verilog asic verilog vhdl fpga

변경 제안

귀하의 의견

등급을 선택하세요
평점을 선택하세요

Your vote has been counted.

Do you have experience using this software?