언어 선택

홈 페이지 arrow-right Riviera-PRO

5개의 무료 및 유료 대안을 Riviera-PRO에 대한 목록으로 정리했습니다. 주요 경쟁 업체는 gEDA Project, Cadence Incisive입니다. 이 외에도 사용자들은 Riviera-PRO와 ICARUS, ModelSim, Synopsys VCS 간에 비교를 하기도 합니다. 또한 여기서 다른 유사한 옵션을 살펴볼 수 있습니다: About.


gEDA Project
Free Open Source

gEDA 프로젝트는 GPL의 전체 제품군 및 툴킷을 지속적으로 생산하고 작업하고 있습니다.

ASIC 설계 및 검증을위한 시스템 Verilog, Verilog, VHDL, SystemC HDL 시뮬레이터

2003 년 3 월 12 일 영국 런던-새로운 분야 인 Pixel Farm

ASIC 설계 및 검증을위한 시스템 Verilog, Verilog 및 VHDL의 HDL 시뮬레이터.

시스템 Verilog, Verilog. ASIC 및 대형 FPGA 설계를 대상으로하는 VHDL, SystemC, HDL 시뮬레이터.

Riviera-PRO 플랫폼

tick-square Windows

Riviera-PRO 개요

Riviera-PRO는 미래의 첨단 FPGA 및 SoC 장치를 제작하는 엔지니어의 검증 요구를 해결합니다. Riviera-PRO는 고성능 시뮬레이션 엔진, 다양한 추상화 레벨의 고급 디버깅 기능 및 최신 언어 및 검증 라이브러리 표준을 지원하여 최고의 테스트 벤치 생산성, 재사용 성 및 자동화를 가능하게합니다.

최고의 Riviera-PRO 대안

소프트웨어에 대한 의견을 공유하고 리뷰를 남겨 더 나아지도록 도와주세요!

Riviera-PRO 태그

systemc system-verilog asic verilog vhdl fpga

변경 제안

귀하의 의견

등급을 선택하세요
평점을 선택하세요

Your vote has been counted.

Do you have experience using this software?