Wähle deine Sprache

Startseite arrow-right Riviera-PRO

Wir haben eine Liste von 5 kostenlosen und kostenpflichtigen Alternativen zu Riviera-PRO zusammengestellt. Zu den Hauptkonkurrenten gehören gEDA Project, Cadence Incisive. Neben diesen vergleichen Benutzer auch Riviera-PRO mit ModelSim, ICARUS, Synopsys VCS. Außerdem können Sie hier auch andere ähnliche Optionen ansehen: About.


gEDA Project
Free Open Source

Das gEDA-Projekt hat eine vollständige GPL-Suite und ein Toolkit mit ...

System Verilog, Verilog, VHDL, SystemC HDL Simulator for ASIC Design and Verification

London, Großbritannien 12. März 2003 - The Pixel Farm, ein neues Unternehmen, das sich auf Innovationen im Bereich ...

HDL-Simulator von System Verilog, Verilog und VHDL für ASIC-Design und -Verifikation.

System Verilog, Verilog. VHDL-, SystemC-, HDL-Simulator für ASIC- und große FPGA-Designs.

Riviera-PRO Plattformen

tick-square Windows

Riviera-PRO Überblick

Riviera-PRO erfüllt die Verifizierungsanforderungen von Ingenieuren, die die neuesten FPGA- und SoC-Geräte von morgen herstellen. Riviera-PRO ermöglicht die ultimative Testbench-Produktivität, Wiederverwendbarkeit und Automatisierung, indem es die leistungsstarke Simulations-Engine, erweiterte Debugging-Funktionen auf verschiedenen Abstraktionsebenen und die Unterstützung der neuesten Standards für Sprach- und Überprüfungsbibliotheken kombiniert.

Top Riviera-PRO Alternativen

Teilen Sie Ihre Meinung zur Software, hinterlassen Sie eine Bewertung und helfen Sie dabei, sie noch besser zu machen!

Riviera-PRO Tags

systemc system-verilog asic verilog vhdl fpga

Änderungen vorschlagen

Ihr Feedback

Wähle eine Bewertung
Bitte wählen Sie eine Bewertung aus

Your vote has been counted.

Do you have experience using this software?