Wybierz swój język

Powrót arrow-right Synopsys VCS

Oto lista 5 bezpłatnych i płatnych alternatyw dla Synopsys VCS. Główni konkurenci obejmują gEDA Project, Cadence Incisive. Oprócz tego, użytkownicy porównują także Synopsys VCS z ICARUS, ModelSim, Riviera-PRO. Ponadto można spojrzeć na inne podobne opcje tutaj: About.


gEDA Project
Free Open Source

Projekt gEDA opracował i kontynuuje prace nad pełnym pakietem GPL'd i zestawem narzędzi ...

System Verilog, Verilog, VHDL, SystemC HDL Simulator for ASIC Design and Verification

Londyn, Wielka Brytania 12 marca 2003 r. - The Pixel Farm, nowa firma skoncentrowana na innowacjach w dziedzinie ...

System Verilog, Verilog. Symulator VHDL, SystemC, HDL ukierunkowany na układy ASIC i duże układy FPGA.

HDL Simulator of System Verilog, Verilog i VHDL do projektowania i weryfikacji ASIC.

Synopsys VCS Platformy

tick-square Linux
tick-square Windows

Synopsys VCS Przegląd

Dostarcza produkty i usługi, które przyspieszają innowacje na globalnym rynku elektronicznym.

Najlepsze alternatywy dla Synopsys VCS

Podziel się swoją opinią na temat oprogramowania, napisz recenzję i pomóż jeszcze bardziej je ulepszyć!

Synopsys VCS Tagi

system-verilog asic verilog vhdl fpga

Zaproponuj zmiany

Twoja opinia

Wybierz ocenę
Proszę wybrać ocenę

Your vote has been counted.

Do you have experience using this software?