Sélectionnez votre langue

Page d'accueil arrow-right Riviera-PRO

Nous avons compilé une liste de 5 alternatives gratuites et payantes à Riviera-PRO. Les principaux concurrents incluent gEDA Project, Cadence Incisive. En plus de cela, les utilisateurs établissent également des comparaisons entre Riviera-PRO et ModelSim, ICARUS, Synopsys VCS. Vous pouvez également consulter d'autres choix similaires ici : About.


gEDA Project
Free Open Source

Le projet gEDA a produit et continue de travailler sur une suite complète et une boîte à outils ...

System Verilog, Verilog, VHDL, simulateur SystemC HDL pour la conception et la vérification d'ASIC

Londres, Royaume-Uni, 12 mars 2003 - The Pixel Farm, une nouvelle société axée sur l'innovation dans le ...

HDL Simulator de System Verilog, Verilog et VHDL pour la conception et la vérification d'ASIC.

Système Verilog, Verilog. Simulateur VHDL, SystemC, HDL ciblant les ASIC et les grands modèles de FPGA.

Riviera-PRO Plates-formes

tick-square Windows

Riviera-PRO Description

Riviera-PRO répond aux besoins de vérification des ingénieurs qui conçoivent les dispositifs de pointe FPGA et SoC de demain. Riviera-PRO permet la productivité, la réutilisabilité et l’automatisation optimales des bancs de test en combinant un moteur de simulation hautes performances, des capacités de débogage avancées à différents niveaux d’abstraction et une prise en charge des normes les plus récentes en matière de librairies de langages de vérification et de langages.

Meilleures alternatives à Riviera-PRO

Partagez votre opinion sur le logiciel, laissez un avis et contribuez à l'améliorer encore davantage !

Riviera-PRO Mots clés

systemc system-verilog asic verilog vhdl fpga

Proposer des changements

Vos commentaires

Sélectionnez une note
Veuillez sélectionner une note

Your vote has been counted.

Do you have experience using this software?