Выберите свой язык

Главная arrow-right Riviera-PRO

Мы составили список из 5 бесплатных и платных аналогов Riviera-PRO. Основные конкуренты: gEDA Project, Cadence Incisive. Кроме того, пользователи также проводят сравнения между Riviera-PRO и ICARUS, ModelSim, Synopsys VCS. Также вы можете рассмотреть другие аналогичные варианты здесь: About.


gEDA Project
Бесплатно Открытый исходный код

The gEDA project has produced and continues working on a full GPL'd suite and toolkit of...

System Verilog, Verilog, VHDL, SystemC HDL Simulator для проектирования и проверки ASIC

Лондон, Великобритания, 12 марта 2003 года - Pixel Farm, новая компания, ориентированная на инновации в области ...

HDL симулятор системы Verilog, Verilog и VHDL для проектирования и проверки ASIC.

Система Verilog, Verilog. VHDL, SystemC, HDL симулятор, предназначенный для ASIC и больших конструкций FPGA.

Riviera-PRO Платформы

tick-square Windows

Riviera-PRO Обзор

Riviera-PRO отвечает потребностям в проверке инженеров, создающих самые современные устройства FPGA и SoC завтрашнего дня. Riviera-PRO обеспечивает максимальную производительность, возможность повторного использования и автоматизацию средств тестирования за счет сочетания высокопроизводительного механизма моделирования, расширенных возможностей отладки на различных уровнях абстракции и поддержки новейших стандартов языка и библиотек верификации.

Лучшие аналоги Riviera-PRO

Поделитесь своим мнением о программном обеспечении, оставьте отзыв и помогите сделать его еще лучше!

Riviera-PRO Теги

systemc system-verilog asic verilog vhdl fpga

Предложить изменения

Ваш отзыв

Выберите рейтинг
Пожалуйста, выберите рейтинг

Your vote has been counted.

Do you have experience using this software?